begin xxxxxxxxxxx := 6; xxxxxxxxxxxxx := 8; xxxxxxxxxxxxx := xxxxxxxxxxxxx * xxxxxxxxxxx; write xxxxxxxxxxxxx; end